百木园-与人分享,
就是让自己快乐。

角逐先进封装:半导体厂商的“诸神之战”

【编者按】后摩尔时代,随着5G、AI、物联网、大数据及智能制造等技术不断突破创新,业内对于体积更轻薄、数据传输速率更快、功率损耗更小及成本更低的芯片需求大幅提高。而由于单纯依靠精进制程来提升芯片性能的方法已无法满足时代需求,先进封装技术正被视为推动产业发展的重要杠杆。于是,各半导体巨头正拿出“杀手锏”,在先进封装领域掀起一场前所未有的“诸神之战”。

2011年秋,张忠谋毫无预兆的掷出一个震撼弹——台积电要进军封装领域。为此,他请回已从台积电退休的蒋尚义重新掌舵研发,而具体任务落在了余振华肩上。代工龙头进军下游,市场顿时对封测厂的前景打上问号。风口浪尖之时,余振华在公开场合舌战群儒,大力推销台积电的先进封装技术。但封测界累积的不满,终于在一场技术研讨会爆发。

在余振华演讲结束后,一位矽品研发主管发难,“你的意思是说我们以后都没饭吃了?”随着行业气愤逐渐达到冰点,余振华在张忠谋“点拨”后突然在公开场合销声匿迹,埋头攻坚研发。历经两三年时间,余振华不负众望,带领数百人的团队开发出了CoWoS技术。可直到开始量产,真正下单的主要客户只有赛灵思一家,其余客户都觉得价格太贵。

此后,即便辈分极高,“蒋爸”也面临巨大压力:某人夸下海口要了大量资源,但做了个没什么用的东西。而余振华则陷入人生低潮,不仅工作变化大,连家庭也出现状况。然而,转折机会很快来临。某天,在与一位大客户的硏发副总共进晚餐时,对方告诉蒋尚义,这类技术的价格不能超过每平方毫米1美分才能被接受,但CoWoS的价格却超过5倍。

余振华

或是一语点醒梦中人,台积电随后决定开发新技术。“我就用力冲冲冲,”余振华决定改用减法,将CoWoS结构尽量简化。不久后的一天,蒋尚义激动地冲进张忠谋办公室,说余振华挖到一个大金矿,开发出了InFO技术。由于InFO具备减少芯片厚度、提高能效、高性价比等特性,自2016年起,台积电借此一举击败三星,连拿三代苹果手机订单。

在技术路线上,InFO与CoWoS都属于晶圆级封装技术,即直接在硅晶圆上完成封装。而为了解决层出不穷的技术难题,台积电也曾付出昂贵学费,5年间产线烧坏几千片昂贵的晶圆。但这些学费也物有所值。在InFO技术开花结果不久后,CoWoS技术开始被英伟达GP100、谷歌AlphaGo和日本“富岳”超算等采用,进而拉开了世界人工智能热潮序幕。

可以说,台积电推出InFO与CoWoS是产业发展的标志性事件之一,拉开了全球持续至今的行业竞赛。过去几年,当业界瞩目各巨头竞争时通常聚焦在先进制程和EUV等技术,但不起眼的封测环节俨然成为台积电甩开三星、英特尔的重要砝码。如今,随着先进封装的地位与日俱增,各半导体巨头正拿出“杀手锏”,掀起一场前所未有的“诸神之战”。

01 台积电:整合创新

在官网关于3D先进封装的介绍中,台积电称,计算工作的负载在过去十年中的发展可能比前四个十年都要大。目前,云计算、大数据分析、人工智能、神经网络训练、人工智能推理、先进智能手机上的移动计算甚至自动驾驶汽车,都在推动计算向极限发展。面对更多样化的计算应用需求,先进封装技术成为持续优化芯片性能和成本的关键创新路径。

基于此,伴随着网络流量的爆炸性增长,数据中心开始向硅光子领域发展。于是,2021年9月,台积电针对数据中心市场推出了其新型先进封装技术——COUPE(紧凑型通用光子引擎)异构集成技术,将光学引擎与多种计算和控制ASIC集成在同一封装载板或中间器件上。这一技术能够使组件之间的距离更近,提高带宽和功率效率,并减少电耦合损耗等。

与此同时,基于封装技术的演进,台积电也在不断迭代原来的拳头技术产品。2021年8月,台积电宣布第五代CoWoS先进技术应用并量产,可在基板封装8片HBM2e高速暂存存储器,总容量可达128GB。台积电表示,第五代CoWoS先进封装技术晶体管数量是第三代20倍,同时增加3倍中介层面积,而且使用全新TSV解决方案以及更厚的铜连接线。

近十年来,台积电已经推出五代不同的基板上芯片封装工艺,涵盖了消费级与服务器芯片领域,产品多达数十款。而为了满足当前系统效能、缩小面积以及整合不同功能的需求,台积电已将先进封装相关技术整合为3DFabric平台,可让客户自由选配。其中,前段技术包含整合芯片系统SoIC,后段组装测试相关技术包含整合型扇出InFO及CoWoS系列产品。

随着先进封装技术及产业方兴未艾,各大半导体厂商迭代技术方案同时也在不断扩大相关产能。目前,台积电有五座先进封装工厂,包含新竹1厂、台南2B与2C厂、龙潭3厂与台中5厂。而建设中的竹南AP6厂采全自动化设计,专攻SoIC相关设计生产。2021年,竹南AP6厂SoIC部分目标设备移入,InFO相关部分目标2022年到位,整体将2022年底量产。

诚然,鉴于在硅中介层、晶圆加工技术以及成本等方面的优势,台积电将能从高精度路径继续保持市场领先。而无论前段或后段产业都在致力推动半导体发展,使得系统微缩追求更高系统效能、更低耗能及更小体积上的精进。目前,台积电的3D Fabric平台已率先进入新阶段,从异质整合、系统整合到现在的系统微缩均具备一定优势,但挑战同样不容小觑。

02 日月光:亦步亦趋

近年来,自台积电涉足先进封装领域后,对其他封测厂的“威胁论”就不曾间断。其实,台积电在先进封装的策略与传统封测厂有所差异,即主要是绑定先进制程为金字塔顶端客户定制优化的产品,对应产品类别多为HPC及高端智能手机。而封测厂在先进封装的策略大不相同,即在于多样化的封装技术和庞大产能,可为客户提供一站式且平价的解决方案。

虽然长期稳坐传统封装市场龙头地位,但随着使用不同封装技术进行异质芯片整合成为新时代的发展趋势,日月光也在不断加码晶圆级FOWLP技术,以提上在先进封装领域的实力。目前,日月光针对SIP封装有两个明显趋势:一是从单面变成双面,虽然厚度增加但随着技术演进会缩小。二是增加不少异形键,从而不用依赖基板以及使线宽间距做得更优化。

其实,自2014年起,日月光就曾跟随台积电脚步投入FOWLP封装技术研发。最初,日月光采用的是面板级(Panel Level)扇出型技术,但两年后转向晶圆级(Wafer Level)技术发展,并完成研发并导入试产。紧接着,日月光建设了2万片月产能的FOWLP封装生产线,并成功拿下高通及海思大单,成为继台积电之后、全球第二家可以量产FOWLP封装的半导体代工厂。

在经历四年多“缠斗”后,日月光于2020年3月完成对矽品收购,进一步巩固了行业龙头地位及封装技术实力。但为了应对行业激烈竞争,尤其在台积电宣布投资716亿再建一座先进封装厂刺激下,日月光继续发力先进封装:宣布投资逾60亿元在高雄建立先进封装厂,以扩大相关产能规模。这座工厂也是日月光“5年6厂”的阶段性成果,预计2023年完工。

日月光高雄工厂

整体上,经过多年布建耕耘,日月光在先进封装领域已具备不俗实力,可以提供SiP、2.5D & 3D IC封装及扇出型封装(Fan Out)等高端技术,并且在价格、产能、良率及“一站式封装”等方面具备不俗优势。此外,日月光在覆晶封装、焊线封装、面板级封装等方面取得多项研发成果同时,还在5G、感应器、车用电子及智能装置方面不断加大投入力度。

但加大布局投入势必需要资本支撑,于是日月光做出“艰难”的决定。2021年12月1日,日月光宣布将位于大陆的四家封测工厂以14.6亿美元打包出售。至于其中原因,日月光称,此次出售四个工厂,是合并矽品之后首次提出整合集团封测资源,优化大陆市场的战略布局及资源的有效运用,同时获利将强化公司在台湾先进封装技术研发及产能建置。

03 三星:重振旗鼓

无论在晶圆代工还是先进封装市场,三星一直没有放缓追赶台积电的脚步。比如在即将量产的3nm工艺制程及3D先进封装技术领域,三星和台积电竞争越来越激烈,甚至进入全面“战争”状态。目前,三星与台积电在工艺制程方面差距不算很大,但在封装领域仍处于一定劣势。不过,基于在FOPLP和FOWLP技术上全力追赶,三星或将能缩小差距。

此前,三星因具备全球唯一的内存、处理器和封装厂“一体化生产线”优势曾长期独享苹果手机订单,但却不曾想主因先进封装劣势丢了这一肥单。三星也曾成立特别工作小组开发FOPLP技术,但这项技术仅在Galaxy Watch的芯片封装中商用,并无多大建树。而随着台积电通吃苹果新品的可能性愈来愈高,三星毅然加码发力先进封装,并送出“三连击”。

2019年10月,三星宣布率先在业内开发出12层3D-TSV(硅穿孔)封装技术,在保持芯片尺寸的同时增加了内存容量,并将量产24GB的高带宽内存(HBM)。三星方面称,该技术垂直堆叠了12个DRAM芯片,通过60000个TSV孔互连,每一层的厚度仅有头发丝的1/20。由此,三星不忘豪言称,这是目前世界上最精确和最具挑战性的半导体封装技术。

三星X-Cube测试芯片架构

基于不俗的研发实力,2020年8月,三星又宣布推出3D先进封装技术“X-Cube”。不同于以往的多个芯片平行封装,这一技术基于TSV硅穿孔技术,可以将包括SRAM在内的不同芯片垂直堆叠,从而释放空间堆叠更多内存芯片。三星方面称,X-Cube技术已经可以用于7nm及5nm工艺,同时还将满足5G、AI、AR、VR、HPC和移动芯片等领域的性能要求。

此外,到了2021年5月,三星宣布其下一代2.5D封装技术“I-Cube4”即将上市。据介绍,该技术集成1颗逻辑芯片和4颗高带宽内存(HBM),将大幅提升逻辑器件和内存之间的通信效率。与此同时,该技术还在保持性能前提下将中介层做得比纸还薄,厚度仅有100μm。但也有专家指出,I-Cube4技术存在寄生参数缺陷及过薄等问题,或将影响产品性能。

目前,随着AI、HPC和网络应用细分市场对规格的要求不断增加,以及安装在一个封装中的芯片数量和尺寸增加或需要高带宽通信,大面积封装变得越来越重要,但其需采用的细间距基板将不可避免导致成本上升。对此,三星通过应用混合基板结构解决了其中的难点痛点。这将有利于三星提升先进封装的竞争力,以及在与台积电的竞争中争夺主动权。

04 英特尔:多维建构

与台积电、三星两大IDM对手一样,英特尔曾一直试图推动先进制程精进同时发力先进封装技术,以及通过晶体管、封装和芯片设计协同优化进步继续推动摩尔定律演进。但英特尔的希望似乎均落空,不仅在先进制程技术卡壳导致14nm后面的“+”号不断加长,而且在先进封装领域被台积电赶超后迟迟没有亮眼动作。但“沉寂”数年后,英特尔开始迸发。

2021年3月,英特尔发布IDM2.0战略,将未来制造模式变革为:“自有工厂+第三方产能+代工服务”组合。其中的战略包括,投资200亿美元在美国建两座晶圆工厂;全面对外提供代工服务;扩大外包订单量;与IBM联合研发下一代逻辑芯片的先进封装技术。随后,英特尔又相继宣布各投资25亿美元、70亿美元在美国、马来西亚扩大先进封装产能。

2021年3月24日,英特尔CEO帕特·基辛格发布英特尔IDM2.0战略

此前,鉴于先进封装的地位与日俱增,英特尔已在2.5D封装领域布局,并于2017年推出EMIB(嵌入式多芯片互连桥接)技术。这一技术可以将不同类型、不同工艺的芯片IP组合在一起,类似一个松散的SoC。不过,EMIB没有引入额外的硅中介层,只在两枚裸片边缘连接处加入了一条硅桥接层,并重新定制化裸片边缘的I/O引脚以配合桥接标准。

继推出突破性的EMIB封装技术之后,英特尔很快实现另一个飞跃,即2018年12月推出名为“Foveros”的全新3D封装技术。据英特尔介绍,Foveros技术是英特尔首次引入3D堆叠的优势,不仅可以实现在逻辑芯片上堆叠逻辑芯片,还可以将不同工艺、结构、用途的芯片进行异构集成,从而为整合高性能、高密度和低功耗工艺技术的系统铺平了道路。

于是,自2019年下半年开始,英特尔开始推出一系列采用Foveros封装技术的产品。其中,首款Foveros产品整合高性能10nm计算堆叠“芯片组合”和低功耗22FFL基础晶片,可以在小巧的产品形态中实现世界一流的性能与功耗效率。紧接着,英特尔在先进封装的动作已停不下来,不断公布新的技术突破和相关产品,进而构建起多维的先进封装布局。

其中值得注意的是,在2020年架构日上,英特尔推出了混合键合(Hybrid bonding)技术,且相关测试芯片已在当年第二季度流片。据介绍,这一技术能够加速实现10微米及以下的凸点间距,较Fovreros的25—50微米凸点间距明显提升,具备更高的互连密度、带宽和更低的功率。显然,英特尔在商业模式方面正在向台积电靠拢,并将成为其强力竞争对手。

05 长电科技:提速破局

受益于半导体产品市场的蓬勃发展,长电科技近年来在全球半导体封测行业保持领先地位。基于在先进封装上的提速破局,长电科技的业务当前主要以先进封装为主,占封装总业务的九成以上。而随着5G时代的到来以及产业需求发生新的变化,长电科技正聚焦加大对5G、AI、移动终端、车载电子、大数据存储和物联网等领域的先进封装技术投入。

于是,2021年7月,长电科技推出XDFOI™全系列极高密度扇出型封装解决方案,旨提供高性价比、高集成度、高密度互联和高可靠性的解决方案,预计于2022年下半年完成产品验证并实现量产。在技术方面,XDFOI™通过将不同功能的器件整合在系统封装内,可达到大幅降低系统成本同时缩小封装尺寸,并能提供小芯片和异构封装的系统解决方案。

在此之前,长电科技重点发展系统级封装(SiP)、扇出型封装(Fan-out)和2.5D/3D封装等技术,并将SiP和Fan-out封装打造成其最主要的先进封装技术。其中,长电科技通过收购星科金朋获得的SiP技术已可与日月光抗衡。这一封装技术将不同用途的芯片整合于同一个系统中,在系统微型化中提供更多功能,而且还使得原有电子电路可减少70%-80%。

另外,长电科技的无硅穿孔扇出型晶圆级高密度封装技术,可在硅中介层(Si Interposer)中使用堆叠通孔技术(Stacked VIA)替代硅穿孔技术(TSV)。该技术可以实现多层RDL再布线层,2×2um的线宽间距,40um极窄凸块互联,以及集成高带宽存储和集成无源元件。目前,长电科技正致力于将Fan-out技术和SIP技术结合,以实现灵活的异构集成。

在产能方面,长电科技也具备一定优势,目前主要工厂为长电先进、长电韩国及星科金朋。其中,长电先进具备FC、PoP、Fan-out、WLP、2.5D/3D等先进封装能力;星科金朋新加坡厂拥有Fan-out eWLB和WLCSP封装能力,韩国厂拥有SiP和FC系统封测能力,江阴厂拥有先进的存储器封装、全系列的FC倒装技术;长电韩国主营SiP高端封装业务。

值得注意,江阴基地是长电科技与中芯国际合作的主要阵地,相对更能发挥出上下游市场的协同效应。此外,中芯国际是长电科技目前持股超10%的第二大股东,且长电科技多位高管均有中芯国际背景。由此可见,长电科技已与中芯国际与深度合作,而且既有业务连接紧密。基于先进封装技术不断突破,长电科技与中芯国际的经营业绩或将形成共振增长。

06 AMD:引领风潮

在后摩尔时代,随着芯片先进制程逐渐突破物理极限,人们开始由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”,进而使得以Chiplet为首的先进封装技术随之浮出水面。在这样的思路下,要在制程微缩时获得效能提升,Chiplet设计与创新芯片架构、异质整合平台渐成主流方式之一。因此,AMD、英特尔、台积电等巨头相继发布了Chiplet产品。

其中,英特尔在其2021年架构日中发布了下一代至强可扩展处理器,即采用2.5D的嵌入式桥接解决方案,在Chiplet领域迈出了关键一步。台积电也早已重兵押注,发布了由CoWoS与InFO技术组成的SoIC芯片3D堆叠技术,可提供Chiplet的弹性解决方案。相对而言,AMD无疑是chiplet风潮的引领者,目前已有14种用于Chiplet的封装架构正在研发中。

尤其2021年6月,AMD发布了基于3D Chiplet技术的3D V-Cache。该产品使用台积电的3D Fabric先进封装技术,将含有64MB L3 Cache的chiplet以3D堆叠的形式与处理器封装在一起。在AMD展示的概念芯片中,原处理器Chiplet中带有32 MB L3 Cache,而在和64 MB的3D V-Cache做3D封装后,每个Ryzen 5000 Chiplet可以访问总共96 MB的L3 Cache。

目前,先进封装领域有两条由应用驱动的技术路径,即提升互联密度和Chiplet。而AMD的3D Chiplet把两条技术路线汇合在一起。其互联密度较2D Chiplet高两百多倍,较传统3D IC技术提高15倍。AMD预计2021年底前生产运用3D Chiplet技术的HPC产品,2022年推出5纳米Zen4架构处理器,并且已向台积电预订明后两年5纳米及3纳米产能。

AMD之所以能引领Chiplet技术,离不开多年积累。从2015年开始使用HBM技术,到2019年推出使用chiplet的产品,再到推出3D chiplet,每一步都可以看见其决心。据了解,2017年,AMD在推出的处理器上便采用Chiplet技术将4个SoC相互连接,随后在下一代产品中又通过Infinity技术将8个7nm Chiplet 小芯片和1个12nm Chiplet I/O相互连接。

显而易见,Chiplet将为半导体产业带来新的机会,比如降低大规模芯片设计门槛,有效降低芯片客户设计成本,提升晶圆厂和封装厂产线的利用率,以及建立可互操作的组件、互连、协议和软件生态系统等。而随着入局企业、设计样本越来越多,开发成本逐步下降,Chiplet生态将获得加速发展。但与此同时,AMD未来是否还能引领Chiplet风潮尚有待见证。

07 尾声

长期以来,半导体产业角逐的“主战场”是在芯片设计以及芯片制造环节。但在后摩尔时代,随着5G、AI、物联网、大数据等技术不断突破创新,业内对于体积更轻薄、数据传输速率更快、功率损耗更小及成本更低的芯片需求大幅提高。这使得单纯依靠精进制程来提升芯片性能的方法已无法满足时代需求,而先进封装技术被视为推动产业发展的重要杠杆。

与传统封装相比,芯片使用先进封装技术可缩短尺寸、减轻重量达数十倍。此外,先进封装技术节约的功率可使相关元件以每秒更快的转换速度运转而不增加能耗,同时更有效地利用硅片的有效区域。简而言之,先进封装技术不仅在集成度、性能、功耗等方面更具优势,而且设计自由度更高、开发时间更短。因此,其一度号称是超越摩尔定律瓶颈的最大“杀手锏”。

鉴于此,先进封装技术的发展前景极为广阔。根据调研机构Yole的数据,2020年至2026年,先进封装市场复合年增长率约为7.9%,几乎是传统封装市场预期增长率(2.2%)的三倍。面对这一发展机遇,除了封装厂、IDM厂商,晶圆厂、基板/PCB供应商,以及EMS/ODM等众多厂商都在竞相布局先进封装研发和产能。而这必将冲击传统封装市场的旧有格局和发展模式。

近年来,台积电、日月光、三星、英特尔、长电科技、AMD等相继拿出自己的“杀手锏”:3D Fabric、FOWLP、X-Cube、Foveros、XDFOI™、3D Chiplet。虽然这些技术的核心细节有所不同,但殊途同归,都是在向更高密度和更高集成的方向发展,以实现更为复杂和灵活的系统级芯片。但由于系统级先进封装门槛也在不断变高,想要实现进一步突破并不简单。

以扇出型封装为例,如果要进一步系统集成化,芯片挑拣、对位准度、重新布线(RDL)制程及线路复杂度会进一步提升,以及其带来的热、电、应力效应衰减等将形成技术门槛。另外,芯片上市时间、基于成本考量的模组化程度、模组设计、模组效能设计验证等方面,都需要加强产业链垂直整合。因此,对各企业而言,终端系统应用和系统整合能力将至关重要。

目前,随着国际半导体巨头纷纷布局、掀起混战,先进封装的元年已宣告开启。未来,在产业垂直整合趋势加强下,具备系统化芯片及封装设计与验证能力的新产业模式或将兴起。但由于市场的爆发期尚未来临,无论对晶圆厂还是传统封装等厂商来说,现在的多维布局将考验各家的技术规划、市占率与营收整体蓝图。谁能在未来更胜一筹?这场竞赛且拭目以待。

来源:http://www.techweb.com.cn/it/2022-02-07/2877102.shtml
图文来源于网络,如有侵权请联系删除。

未经允许不得转载:百木园 » 角逐先进封装:半导体厂商的“诸神之战”

相关推荐

  • 暂无文章